summaryrefslogtreecommitdiffstats
path: root/tests/lapd/lapd_test.c
Commit message (Collapse)AuthorAgeFilesLines
* Fix malformed Abis/RSL messages with extra L3 Information fieldPau Espin Pedrol2017-12-101-7/+1
| | | | | | | | | | | | | | | | | Some Abis/RSL messages such as "Release Indication" contained 3 extra bytes from an L3 Information header which should not be there according to specs in GSM 08.58 (section 8.3 "Radio link layer management messages"). Other RSL messages were affected by the same issue, except for "Establish Indication", which had already a workaround in send_rslms_dlsap. This commit fixes the issue in a generic way, removes the "Establish Indication" and fixes the test accounting for the bug, as it otherwise fails after applying the changes. Fixes: OS#1635, OS#2336 Change-Id: Ibb116214e8b1798d65a8b0917150496a3c14f344
* lapd_test: sanitize: fix msgb memleaksNeels Hofmeyr2017-11-181-0/+9
| | | | | | Helps fix sanitizer build on debian 9. Change-Id: I0add9eac7225db23bc02fc2aaee5f42258d34e25
* Fix/Update copyright notices; Add SPDX annotationHarald Welte2017-11-131-1/+3
| | | | | | | | Let's fix some erroneous/accidential references to wrong license, update copyright information where applicable and introduce a SPDX-License-Identifier to all files. Change-Id: I39af26c6aaaf5c926966391f6565fc5936be21af
* lapd_test.c: Convert compilation warning to level messagePau Espin Pedrol2017-06-211-1/+1
| | | | | | | This way we clean the build of warnings completely and we can enable -Werror but we still see this FIXME message while compiling. Change-Id: I24c11226cd5bb5b6032f4ece7d1a0695dc82f0dd
* lapd_test: avoid calling memcpy with NULL sourceHarald Welte2017-01-061-1/+2
| | | | | | | fixes lapd/lapd_test.c:54:2: runtime error: null pointer passed as argument 2, which is declared to never be null Change-Id: I7030729f4f4c867adecc7afc15bb5ca9beff0030
* gsm/lapdm: Prevent LAPD tx_queue from filling up in polling modeDaniel Willmann2014-03-261-8/+4
| | | | | | | If LAPDm receives an I-Frame while there already is an I-Frame in the tx_queue the code generates an additional RR (to acknowledge the received I-Frame). Instead, N(R) of the I-Frame in the tx_queue should be updated to ACK the data.
* tests/lapd: Add a testcase to check for LAPDm sync issuesDaniel Willmann2014-03-261-9/+210
| | | | Regression test for LAPDm recording the current state
* lapd/test: Check for empty ACCH queue, tooJacob Erlbeck2014-03-041-0/+2
| | | | | | | This just adds a single test to verify that the ACCH queue is actually empty. Sponsored-by: On-Waves ehf
* lapd/test: Extend test case to test msgs having data before l2hJacob Erlbeck2014-03-041-0/+12
| | | | | | | | | | | | | | Since e.g. the IPA input driver leaves it's specific header in front of msg->l2h, so that msg->l2h != msg->data. The lapdm code does not expect this at least in rslms_rx_rll_est_req(). This patch modifies the test program to add a dummy L1 header to generated messages (unless the test would abort when doing so). Note that the ok file reflects the current state which is not correct. Sponsored-by: On-Waves ehf
* lapd/test: Show dequeued messagesJacob Erlbeck2014-03-041-28/+58
| | | | | | This adds and uses a wrapper for lapdm_phsap_dequeue_prim() that prints information about the message that has been taken from the queue.
* lapd/test: Add test case for RSL EST REQ -> LAPDJacob Erlbeck2014-01-261-15/+105
| | | | | | | | | This test case processes RSL establish requests for SMS (SAPI 3) on the SDCCH and the SACCH channels. The TX queues are checked after processing each message. Ticket: SYS#192 Sponsored-by: On-Waves ehf
* lapd: Extend the test to check if lapdm_channel_exit is idempotentHolger Hans Peter Freyther2013-10-261-0/+10
| | | | | | | | | I have a crash with an older libosmocore and the sysmobts. I am not sure how we can have a release for a channel where the lapdm_channel has not gone through lapdm_channel_init. But to prevent the crash we can promise lapdm_channel_exit to be idempotent. It has been idempotent since f5a079f739c57d8be7c59149fd45475c402a45fc
* make lapd_test compile again.Harald Welte2013-07-061-69/+0
| | | | | For whatever reason two functions were present twice in the code, probably some git merge/rebase artefact?
* Add LAPDm collision test (contention resolution on network side)Andreas Eversberg2013-07-061-0/+69
|
* lapd: Upate the assert to OSMO_ASSERT and describe what we would like to haveHolger Hans Peter Freyther2013-06-191-2/+2
| | | | | Update the OSMO_ASSERT to what it should be like but can't due a known issue in the LAPDm code.
* lapd: The two known LAPDm issues have been addressed, update the testHolger Hans Peter Freyther2013-06-191-11/+5
| | | | | | | | | The issue about the wrong padding has been found when creating the testcase in commit 15f740caa3a1ef681b3a5564daf6dfccb7b1e489 but the patch to fix that was never proposed by inclusion by its author. The issue about about the establish confirm carrying data with a wrong size is still present.
* Fix: LAPDm contention resoltion must acknowledge subsequent SABMAndreas Eversberg2013-04-061-0/+76
| | | | | | | | | After reception of SABM, the network responds with UA and enters the establised multiframe state. If UA is not received by mobile, the SABM is transmitted again, and the network must respond with UA again, unless it is from a different mobile. Add LAPDm collision test (contention resolution on network side).
* utils: Introduce OSMO_ASSERT in the utils and use it in the unit testsKaterina Barone-Adesi2013-03-091-33/+29
| | | | | The tests should unconditionally assert, regardless of debug settings. This uses the OSMO_ prefix as it's in the global namespace.
* lapd: Fix compiler warnings in the lapd codeHolger Hans Peter Freyther2012-12-261-0/+2
| | | | | | | | lapd_test.c: In function ‘ms_to_bts_l1_cb’: lapd_test.c:192:6: warning: variable ‘rc’ set but not used [-Wunused-but-set-variable] lapd_test.c:199:1: warning: no return statement in function returning non-void [-Wreturn-type] lapd_test.c: In function ‘main’: lapd_test.c:355:2: warning: implicit declaration of function ‘osmo_init_logging’ [-Wimplicit-function-declaration]
* lapd: Check in rslms_rx_rll() if lapdm context was initialized earlierDaniel Willmann2012-12-261-0/+43
| | | | | | | | | | | | | | | | | | This was found while implementing handover on a sysmobts. When we receive a channel release request for a channel that was never really activated (set_lapdm_context() was not called) we segfault in lapd_recv_dlsap(). We now return early with -EINVAL in rslms_rx_rll() if we receive a message that assumes set_lapdm_context() was already called. These are: * RSL_MT_UNIT_DATA_REQ * RSL_MT_DATA_REQ * RSL_MT_SUSP_REQ * RSL_MT_REL_REQ A test case was added to trigger the issue.
* lapdm: Make sure that the msgb_l3len(msg) == length...Holger Hans Peter Freyther2012-01-121-7/+11
| | | | | | | | | | This code should not play with the internals of the msgb like this, this code got introduced in af48bed55607931307 and is breaking the osmo-bts usecase of forwarding an RSL message. Add a test case that fails without the new code. I would prefer if we could get rid of the manipulating the msgb like this, it is prone to errors like this one.
* lapd: Warn if someone attempts to send an empty messageHolger Hans Peter Freyther2012-01-121-0/+15
| | | | | | DATA REQ with a msgb_l3len(msg) == 0 message does not make any sense, log an error and return immediately before attempting to send an empty I frame in lapd_send_i.
* lapd: Disable one part of the test as it fails on FreeBSDHolger Hans Peter Freyther2011-12-121-1/+2
| | | | | I thought this number would be wrong in the same way but it depends on OS/ARCH. Disable this part of the test for now.
* lapd_test: Look at the content of LAPD messages and compare themHolger Hans Peter Freyther2011-12-121-16/+57
| | | | Verify the output, accept two known errors in the generation of it.
* lapdm: Create a simple test case to transmit data between both endsHolger Hans Peter Freyther2011-12-111-0/+258
This is testing the establishment of two ends (BTS, MS) one is in the polling mode as used by osmo-bts. Transfer data between the two ends. The sent data is not verified though. This should be followed up soon.