summaryrefslogtreecommitdiffstats
path: root/tests/sim/sim_test.ok
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sim/sim_test.ok')
-rw-r--r--tests/sim/sim_test.ok6
1 files changed, 6 insertions, 0 deletions
diff --git a/tests/sim/sim_test.ok b/tests/sim/sim_test.ok
new file mode 100644
index 00000000..7d3f986d
--- /dev/null
+++ b/tests/sim/sim_test.ok
@@ -0,0 +1,6 @@
+Testing sim_sel_mf
+Testing usim_sel_mf
+Testing uicc_tprof
+Testing uicc_tprof_wrong_class
+Testing uicc_read
+Testing uicc_upd